基于FPGA的PWM信号发生器

 2022-01-18 12:01

论文总字数:41408字

目 录

1. 绪论 6

1.1 研究背景及意义 6

1.2 研究现状 7

1.3 研究内容 7

2. 设计框图 8

2.1 方案论证 8

2.2 方案总体设计 8

3.硬件设计部分 9

3.1 FPGA芯片介绍 9

3.2 DDS技术介绍 10

3.3 PWM模块 11

3.3.1 输入模块 11

3.3.2 时钟电路模块 12

3.3.3 相位累加模块 12

3.3.4 方波数据存储模块 13

3.4 显示模块 14

3.5 复位电路模块 15

3.6 JTAG模块 16

3.7 电源模块 16

4. 软件设计部分 17

4.1 Verilog 语言介绍 17

4.2 开发、仿真工具介绍 19

4.3 程序流程图 20

4.4 程序功能 20

4.4.1 PWM顶层文件模块 20

4.4.2 按键控制模块和消抖模块 20

4.4.3 相位累加模块 21

4.4.4 方波产生及波形存储模块 22

4.4.5 波形生成模块 22

4.4.6 参数处理模块 22

4.4.7 液晶显示模块 23

4.5 程序设计 23

5. 软/硬件调试 28

5.1 硬件调试 28

5.2 软件调试 28

5.3 软硬件联合调试 29

5.4 结果展示 29

6.总结 30

参考文献 31

致谢 32

附录 32

基于FPGA的PWM信号发生器

冯璎璠

,China

Abstract:This design of the FPGA-based PWM signal generator, according to different needs to produce the appropriate frequency, duty cycle, phase and amplitude of the PWM waveform. In order to achieve the purpose of improving the utilization of the chip, reducing the cost and minimizing the timing problem between the modules, the design uses the waveform generation module and the control module of the system. The two main parts focus on the FPGA internal method. Through the direct digital synthesis (DDS) technology to generate PWM waves, the use of Verilog HDL language programming, taking into account the FPGA reprogrammable features, so you can change the parameters of each module to obtain the required PWM wave. The original design, compilation and simulation of Cyclone IV series EP4CE6E22C8N FPGA chip and Quartus II software from Altera Corporation are used to compile, compile and simulate the software. After the software is simulated, the hardware and software are made and debugged, and finally the PWM waveform with different parameters is obtained.

Keywords:PWM signal generator; FPGA;DDS;Verilog; Quartus

1. 绪论

1.1 研究背景及意义

脉冲宽度调制(Pulse Width Modulation,PWM)技术是脉冲调制控制的常用技术之一。自六十年代中期以来,随着电子控制技术的兴起并广泛应用与电力变换领域,PWM技术作为一种在电子信息系统和通信领域广泛应用的信号变换技术,凭借其控制简单,灵活以及动态响应好等优点普遍应用在电力控制领域,如电机控制、伺服控制、开关电源以及音频放大器[1]。八十年代后, PWM拥有更加多元化的获得方法,包括自然采样法、谐波消去法或规则采样法等等。

时至今日,PWM信号发生器在国内外的发展可划分为三个阶段,分别是:

第一阶段:模拟电路阶段

该阶段中,模拟电子技术在生产中独占鳌头,PWM信号发生器的设计也相对比较粗糙,大致是由电阻、电感、晶体管、晶振等电路基础元器件构成。

第二阶段:微控制器阶段

在这一阶段,单片机技术迅速发展成熟,数字信号处理(DSP)等控制芯片也在逐步发展,基于此类微控制器的PWM信号发生器有着比模拟电路阶段更加简化的电路。但单片机等芯片的运算速度较慢和运算量较小等问题也是制约着该行业进一步发展的巨大阻碍。

第三阶段:电子设计自动化(EDA)阶段

电子设计自动化(EDA)技术的发展,解决了PWM信号发生器编程复杂,难以调整参数等问题。PWM信号发生器的主流设计主要采用专用集成电路(ASIC)、复杂可编程逻辑器件(CPLD)和现场可编程门阵列(FPGA)来实现相关功能。高度集成的芯片和超强的抗干扰能力以及较低的功耗让他们强势占领相关市场[2]

随着DSP和FPGA技术的发展,PWM技术的实现方法更加简便,但也存在一定的缺点,例如,近些年来比较受欢迎的DSP处理器虽然将PWM发生器模块集中在芯片内部,但是参数被固化到芯片上,这样导致每次改变需求就必须换一次芯片,对于复杂系统的设计来说成本太过于高昂。

以FPGA技术来完成的信号发生器,以其独特的优势逐步的淘汰了过去的利用模电基础实现的信号发生器。新型的信号发生器,在测试系统这一方面尤为突出,主要体现在它的高集成、多控制以及引入了电子化的仪表等。而此类信号发生器具有超高的频率稳定度和高精度,凭借它集成度高、能够高速运算、尺寸小、运转平稳、价格较低等优势,在数据的收集、仪表器件实现智能化等工作中受到普遍的好评,从而使得信号发生器的应用发展迅猛,成为集聚电子、工程等多门学科知识的一个专业研发领域,产生了极高的经济效益和社会效益。

1.2 研究现状

由波形的合成方法来看,工程上有以下几种PWM波生成方法比较常见[3]: 

(1)三角波比较法 

三角波比较法的基础在于交点决定开关的切换时间,交点指的是三角波和正弦调制波的交点,开关指的是逆变换器功率装置所用到的开关。该方法大多数情况下应用于模电领域的硬件开发,但繁琐的电路设计和控制精度低是该方法不容忽视的缺点。

(2)滞环比较法 

该手段在较多情况下用于当前的电流跟踪控制。作为实时控制方式的其中之一,具有硬件电路设计简单,反应速度快,无需载波等优点。然而,检测信号所用的传感器必须符合宽频带、高性能的要求是该方法的最大缺点 。

(3)空间电压矢量法 

用空间电压矢量法来生成PWM波的关键在于实际测量得到的磁通量与标准圆通量之间的比较结果,这个结果来决定是否打开变频器。这里的标准圆通量指的是在TPS正弦波形式的电压下的交流电机的理想磁通量。 

在传统方法中,PWM合成电路的抗干扰能力总是被使用者诟病,主要原因还是在于设计的基础是集成芯片和数字集成电路。这两种基础下的PWM合成器,电路复杂错乱给检查修复带来很大的麻烦,而且在设计时间是现在的数倍。现今,PWM合成电路的模块化,集成化已经成为当下相关技术发展的大势所趋。它一方面能够避免不必要的功率损耗,另一方面也能达到减小尺寸和减重的目的。伴随现代电子技术的发展,EDA等一系列技术的更新换代使电子设计领域迎来翻天覆地的变革,突出表现在ASIC(专用集成电路)设计技术的成熟,提供了许多研究发展的资源和新思路,新方法,尤其在控制电机等许多应用上,需要生产更多方式的可调频率和脉宽的PWM波形,这些需求都可以通过利用具有丰富硬件资源的FPGA,配合其可配置的I / O引脚来实现。

快速发展的现场可编程门阵列(FPGA)和数字信号处理器(DSP)技术使模拟控制技术渐渐变成明日黄花,被数字控制技术取而代之,数字技术在实现系统的控制功能方面更加普及。数字化控制方法在优化系统响应速度的同时兼顾控制精度,该控制技术受欢迎的地方还包括对器件的需求低且所得到成果理想,因此业内人士对其应用价值和经济价值有着较高的评价[4]

1.3 研究内容

PWM技术调制波形较为灵活且适用面广,同时不依赖硬件配置。但是,当下相关领域所使用的PWM信号发生器多数情况下存在着周期调整方式较为繁琐、路数较少等不足。而随着大规模集成电路的发展,FPGA所拥有的丰富资源、较快的工作速度、灵活的使用方法、较高的稳定性等特点,有效弥补了传统PWM信号发生器设计上存在的不足[5]。在此基础上,本文设计和实现一种基于现场可编程门阵列的脉冲宽度调制信号发生器,综合了FPGA与PWM两者的特点,用于产生相位、频率、占空比等参数可调的波形信号。

基于FPGA的PWM信号发生器功能丰富,具体有:

不同PWM信号的产生;

借助于按键可以实现灵活调整波形参数以改变输出的目的,并实时显示调整后的信号参数;

该PWM信号发生器的频率调节范围为200 kHz — 2MHz,不超过主时钟频率的33%,避免对合成的波形产生一定影响;

通过液晶显示屏可以十分全面地得到想要观测的PWM信号发生器的频率,占空比、相位和幅值的实时数据。

为了在较大程度上降低电路的复杂程度,在同一块 FPGA 芯片中将该信号发生器电路的波形合成部分和电路控制部分合二为一。该做法可以获得将高度集成、高度稳定、高度可靠以及平滑的输出波形等众多优点集于一身的电路,显示了使用FPGA 技术的极大优势。

2. 设计框图

2.1 方案论证

近几年来,由于微电子学科与大规模可编程逻辑器件等领域的快速成长,使用现场可编程门阵列(FPGA)与传统的PWM信号产生方法相比,具备体积轻便、应用面广、反应速度迅捷、编程方便等诸多优势。用现场可编程门阵列(FPGA)作为设计制作PWM信号发生器的主芯片,不仅能弥补当下实际生产中频率调节范畴小的不足,还能够简化电路设计,在一定程度上更能满足当代生产的需求。 

剩余内容已隐藏,请支付后下载全文,论文总字数:41408字

相关图片展示:

您需要先支付 80元 才能查看全部内容!立即支付

该课题毕业论文、开题报告、外文翻译、程序设计、图纸设计等资料可联系客服协助查找;