基于FPGA的图像去噪系统设计

 2022-12-26 08:12

论文总字数:14841字

摘 要

图像在形成和传输等过程中会由于各种因素而受到噪声的干扰,对图像进行滤波去噪就成为了图像处理过程中最基础也是最必不可少的环节。而采用软件很难满足现在图像处理所需求的实时性,故本文采用了一种适合硬件并行处理的快速中值滤波算法,并使用Verilog HDL语言在FPGA上实现。这种算法可以有效地减少比较次数,提高系统处理速度。最后通过modelsim仿真软件对系统进行仿真测试,结果证明该算法可行并对椒盐噪声有着良好的去噪效果。

关键词:FPGA;快速中值滤波算法;Verilog HDL

Design of Image Denoising System Based on FPGA

Abstract

In the process of image formation and transmission, the image will be interfered by noise due to various factors, so image filtering and denoising becomes the most basic and essential part of image processing[15]. However, it is difficult to meet the real-time requirements of current image processing with software, so this paper adopts a fast median filtering algorithm suitable for hardware parallel processing, and uses Verilog HDL language to realize it on FPGA[16]. This algorithm can effectively reduce the number of comparisons and improve the processing speed of the system[17]. Finally, the simulation test of the system is carried out by modelsim simulation software, and the results show that the algorithm is feasible and has a good denoising effect on salt and pepper noise[18].

Key words: FPGA, Fast Median Filtering Algorithm, Verilog HDL

目 录

摘 要 I

Abstract II

第一章 绪 论 1

1.1 背景及意义 1

1.2发展及研究现状 1

1.2.1 图像去噪算法的发展 1

1.2.2 图像处理硬件现状 2

1.3 课题主要内容及结构安排 2

第二章 噪声及中值滤波去噪算法 3

2.1 噪声的产生和分类 3

2.2 图像质量的评判方法 3

2.3 中值滤波算法 3

2.3.1 中值滤波算法的发展历程 3

2.3.2 中值滤波算法的去噪原理 4

2.4 快速中值滤波 5

2.5 本章小结 5

第三章 图像去噪系统方案设计 6

3.1 系统硬件设计方案 6

3.1.1 串口通信模块 6

3.1.2 电源电路模块 6

3.1.3 复位电路模块 6

3.1.4 下载配置电路模块 6

3.1.5 时钟模块 7

3.2 系统软件设计方案 7

3.2.1 QUARTUS II 软件的选择 7

3.2.2 Verilog-HDL语言的选择 9

3.3 本章小结 9

第四章 FPGA去噪系统设计 10

4.1 各模块原理图及功能实现 10

4.1.1 ROM数据存储模块 10

4.1.2 计数器控制模块 11

4.1.3 3×3窗口生成模块 11

4.1.4 快速中值滤波模块 11

4.2 FPGA去噪系统电路图 12

4.3 本章小结 12

第五章 系统测试结果与分析 13

5.1 系统仿真结果 13

5.2 去噪性能分析 14

5.3 本章小结 14

第六章 结 论 15

致 谢 16

参考文献 17

第一章 绪 论

1.1 背景及意义

随着科技的不断进步,计算机,多媒体和信息数据传输技术得到了迅猛发展。数字图像技术在人们的日常生活中有了更加广泛的应用,图像及视频因其生动形象的特点被人们所广泛接受。并且在军事,医疗,商业等领域也提供了很大帮助。然而在图像的获取及传输过程中由于环境及硬件的原因,不可避免地会受到各种各样噪声的干扰,从而导致图像质量的严重下降,给人们认识和体会真实的信息源带来了极大的不便[1]。综上所述,图像去噪处理成为了图像处理过程中最基础也是最必不可少的环节,图像去噪领域也自然而然地被应用到人类生活的各个方面。

在图像的采集处理以及图像的最终输出过程中噪声会造成很严重影响,去噪效果的好坏会直接影响到后面一系列对图像的操作。像高斯噪声、椒盐噪声等噪声在图像处理系统中非常的常见。图像去噪算法不断发展,陆续出现了中值滤波、变换域滤波、非局部均值滤波等去噪算法,这些方法分别对某种特定的噪声有着良好的去噪效果。

目前,FPGA已经逐渐取代ARM和DSP成为实时图像采集处理系统的设计的主要平台。特别是近几年,微电子技术有了极大的突破,FPGA的成本比之以往便宜了许多,性能得到了明显提升。FPGA的设计方法非常轻松便捷,用户可以像设计软件一样设计出所需的硬件逻辑功能,在设计的过程中也可以进行的重复编程。这些因素都极大的减轻了用户的开发压力,使用户可以在短期内研发出所需硬件模块。同时,FPGA 系统支持流水线处理和并行计算,这都能够有效的利用硬件资源,加快系统处理速度。随着数字集成技术的发展,现在很多的 FPGA芯片中内嵌一些常用的硬件资源,例如乘法累加器、锁相环、RAM、DSP 核等,这些都可以在FPGA进行图像采集和处理时提供便利。FPGA 已经成为有着软件和硬件架构特性的新型开发平台。

1.2发展及研究现状

1.2.1 图像去噪算法的发展

在现实生活中,由于设备的缺陷、环境干扰以及人为原因等因素,图像在存储以及传输过程中会受到各种噪声的侵袭。其结果就是使得图像无法清晰准确的被接收者获取。因此图像去噪就成为了图像处理过程中最基础、最需要环节,图像去噪算法也一直被广泛研究。图像去噪的发展史大概可分为:局部处理、非局部处理、局部和非局部相结合的BM3D处理方法及相应的算法优化[2]

局部处理根据处理域的不同大致可分为两种方法。第一种是空间域滤波方法。空间域滤波方法的关注点是图像像素点之间的关联性,主要利用的是图像像素点之间具有连续性这个特点,将该点周围像素点的灰度值进行加权计算来获得中心像素点的灰度值。空间域滤波的特点直接对原始图像进行灰度值运算,将原始图像灰度值使用运算后的灰度值进行一一替换[3]。常见的空间域滤波算法有均值滤波,中值滤波及维纳滤波。第二种是变换域滤波方法。变换域滤波方法主要过程是对图像进行域变换处理。变换后由于噪声具有随机性,和图像连续性不是很好,大都处于高频频段,而原始图像信息由

剩余内容已隐藏,请支付后下载全文,论文总字数:14841字

您需要先支付 80元 才能查看全部内容!立即支付

该课题毕业论文、开题报告、外文翻译、程序设计、图纸设计等资料可联系客服协助查找;