混合信号集成电路建模与仿真研究

 2021-12-27 08:12

论文总字数:25777字

摘 要

本文对模数转换器在高层次下进行的行为描述进行了研究。本文的主要目的是讨论使用Verilog-AMS这种硬件描述语言来进行混合信号集成电路的建模与仿真的可能性。这种方法具有大量减少仿真时间、效果契合实际等优点,势必会在未来的模拟电路与混合信号电路的设计中起着更重要的作用。

论文首先对几种常见的模数转换器进行了分析,接着依据Verilog-AMS的实际特点,建立了几个典型的模拟电路的行为模型。作为重点,本文对流水线型的模数转换器最重要的运算放大器模块与比较器模块建立了行为模型。本文还介绍了一种基于数字校准模拟系统的分析方法。本文最后对上述的行为模型实现了功能上的验证和仿真。其结果和实际电路的管级仿真结果相对比,可以证明文中所建立的模块的行为模型能够较为准确的反映出实际电路的特性和功能。这意味着采用Verilog-AMS这种硬件描述语言,在混合信号电路的高层次设计与验证的过程中,建立行为模型来代替传统的管级的电路模块十分可行。

关键词:模数转换器 Verilog-AMS 行为模型

Abstract

In the SOC period, with the increasing complexity of circuit design processing, designers are forced to spend more time in circuit simulation. To make the simulating of the mixed signal circuits rapidly, in the paper instead of circuit level, we are going to describe the circuits in behavioral level. The design technique and behavioral model of kinds of ADCs such as flash ADC, pipeline ADC, folding-interpolation ADC and Sigma-delta ADC is studied and a new method of modeling and simulating of Mixed-signal circuit is discussed in this paper. The characteristics of Verilog-AMS HDL are introduced. The pipeline ADC is separated into two parts: Sub-ADC and Multiplying-DAC. The operational amplifier and comparator are the main part of Multiplying-DAC. The behavioral model of the operational amplifier and comparator is set up using Verilog-AMS HDL. The behavioral model are verified and simulated by Cadence Spectre. Comparing with the simulation result of circuit level, we can get the conclusion that the behavioral models get the same performance as actual circuit.The result validates that replacing the concrete analog circuits with the behavioral model of Verilog-AMS is feasible.

Keyword:ADC Behavioral model Verilog-AMS

目录

摘要 2

Abstract 3

第1章绪论 5

1.1研究背景 5

1.2本文主要内容 6

第2章高速模数转换器的基本结构 7

2.1 A/D转换器的基本结构 7

2.2 快闪(Flash)型模数转换器 11

2.3 流水线(Pipeline)型模数转换器 12

2.4 折叠插值(Folding-Interpolation)型模数转换器 12

2.5 Σ-Δ模数转换器 13

2.6本章小结 14

第3章 模拟电路的行为模型与仿真 15

3.1 Verilog-AMS语言 15

3.2基于Verilog-AMS的模拟电路行为模型 16

3.3本章小结 21

第4章高速模数转换器的行为模型 22

4.1 模块化设计的原理与优点 22

4.2 运算放大器模型 23

4.3 比较器模型 26

4.4基于数字校准模拟系统的分析 28

4.5本章小结 31

第5章 总结与展望 32

致谢 33

参考文献 34

第1章绪论

1.1研究背景

如今是系统芯片(soc)的时代,电路相关的技术在不断提升,而电路的设计也是越来越繁复。这些现状就带来了迫切需要解决的问题,即如何在快速变化的市场中,加快仿真验证电路的设计。如今的芯片设计常用的方法是模拟电路与数字电路混合设计。在过去的设计过程中,一般是使用晶体管级或者逻辑门级来进行电路的设计;然而现如今设计周期大大缩短、电路功能越来越多,从而使得设计的复杂度不断提高。为了进行快速高效的设计,模拟设计逐渐向行为级电路设计靠近。而行为模型的建立、电路的使用、EDA仿真工具的使用等其他常用的方法都在设计流程中愈发重要起来。而设计流程的改变和进化,将会为设计电路的速度提升作推动,进而使得商品化电路产品能够更有效更及时的推出。

在过去的系统设计和仿真验证中,常采用MATLAB的方式进行,但这种方法仅仅仿真了逻辑而把系统设计与电路实现分离开来,从而使得通过系统仿真无法进行模块电路的优化设计。本文中所建立的模型和传统行为模型不同之处在于,传统的行为模型没能做到真实的反应电路在实际运行时的真实特性,从而使得行为模型存在偏差并且与实际电路的特性有所差别。在设计中使用行为模型验证数字校准模拟、混合设计的系统的话,对于一个电路模拟器或者传统的数字模拟器来说太大了。这样使用模型的一个重要的目的是减少人工错误结果导致集成电路缺陷的可能性。并用一个有全套的行为模型的校准增益级的具体例子说明了建模和仿真方法。传统行为模型不精确的问题按照本文所提出的行为模型即可改善。本文所提出的行为模型更能反映出真实电路的行为特性,同时建立更加精确的电路行为模型。

      1. 混合信号模拟仿真

现如今的数字电路大多数都采用基本单元设计流程进行设计;而在模拟电路和混合信号电路设计方面,通常使用全定制的设计流程。即系统设计分为数字电路方向的基本单元设计流程、硬件描述语言、综合、布局布线和模拟电路方向的全定制设计流程、单元设计、电路设计、布局设计。当数字电路与模拟电路两部分都完成布局之后,将这两部分的布局整合为一体,最终作为整体去执行最后阶段的混合仿真。在考虑客户所提出的要求的基础上,检测模拟结果。假如模拟的结果未能实现设想的结果,就必须重新更改布局,甚至重新修改电路。这样做不仅在模拟仿真阶段消耗了很多时间,也会消耗很多人手与钱财。解决重复修改而导致的消耗过大的问题,可以在之前的设计中采用行为模型来进行整体系统性能的快速预测。

      1. 模拟层次提升的方法

为了加快模拟仿真的速度,进而减少消耗在模拟仿真上的时间,就需要提高模拟抽象的层次,即把晶体管级或者开关级模拟提升至行为级模拟。本文介绍了通过Verilog-AMS语言进行建模仿真的方法,该方法在这方面进行了尝试并且有所成效。

本文介绍的这种方法仍然采用硬件描述语言即Verilog来进行数字电路部分的描写,从电路级到行为级的层次都可以这样描写。而与之前的方法不同的在于模拟电路部分。传统中模拟电路部分的模拟仿真由SPICE来进行,但其在晶体管级的模拟中模拟速度受到限制,提升很困难。为了使模拟电路部分的模拟层次提高,本文使用了Verilog-AMS语言进行模拟电路的描述。这样做可以把模拟电路的描述提升到行为级,进而可以将数字电路部分和模拟电路部分都使用硬件描述语言来进行描述,即在行为级就可以做共同模拟仿真,而不需等布局合并之后再进行共同模拟。使用这种方法可以大大减少模拟仿真的时间,进而使因模拟仿真时间太长而增加设计时间的问题得到改善。

剩余内容已隐藏,请支付后下载全文,论文总字数:25777字

您需要先支付 80元 才能查看全部内容!立即支付

该课题毕业论文、开题报告、外文翻译、程序设计、图纸设计等资料可联系客服协助查找;